summaryrefslogtreecommitdiff
path: root/src/core.hpp
diff options
context:
space:
mode:
Diffstat (limited to 'src/core.hpp')
-rw-r--r--src/core.hpp2
1 files changed, 2 insertions, 0 deletions
diff --git a/src/core.hpp b/src/core.hpp
index 1f75279..414173e 100644
--- a/src/core.hpp
+++ b/src/core.hpp
@@ -105,6 +105,8 @@ struct cg_sCore
std::vector<VK::Device> vk_devices;
VK::Device *vk_device_with_swapchain;
VK::Swapchain *vk_swapchain;
+ VkRenderPass vk_render_pass_3d;
+ VkRenderPass vk_render_pass_2d;
VK::GraphicsPipeline3D *vk_graphics_pipeline_3d;
VK::GraphicsPipeline2D *vk_graphics_pipeline_2d;
VK::Renderer *vk_renderer;